diff --git a/_config/nvim/UltiSnips/bsv.snippets b/_config/nvim/UltiSnips/bsv.snippets new file mode 100644 index 0000000..f3ebbbb --- /dev/null +++ b/_config/nvim/UltiSnips/bsv.snippets @@ -0,0 +1,53 @@ +snippet package +package $1; + $0 +endpackage +endsnippet + +snippet import +import $1 :: *; +endsnippet + +snippet interface +interface $1; + $0 +endinterface +endsnippet + +snippet module +module mk$1($2); + $0 +endmodule +endsnippet + +snippet rule +rule $1($2); + $0 +endrule +endsnippet + +snippet Action +method Action $1($2); +endsnippet + +snippet ActionValue +method ActionValue#($1) $2($3); +endsnippet + +snippet Value +method $1 $2($3); +endsnippet + +snippet Reg +Reg#($1) $2 <- mkReg($3); +endsnippet + +snippet begin +begin + $0 +end +endsnippet + +snippet if +if ($1) +endsnippet diff --git a/_config/nvim/UltiSnips/make.snippets b/_config/nvim/UltiSnips/make.snippets index ecb32c0..b0ded7b 100644 --- a/_config/nvim/UltiSnips/make.snippets +++ b/_config/nvim/UltiSnips/make.snippets @@ -15,3 +15,11 @@ CXXFLAGS := -ggdb -std=c++20 -I. $1: $1.cpp $(CXX) $(CXXFLAGS) -o $@ $^ endsnippet + +snippet bsv +$1: $1.bo + bsc -u -sim -e mk$1 -o $@ + +$1.bo: $1.bsv + bsc -u -sim -g mk$1 $< +endsnippet