73 lines
828 B
Text
73 lines
828 B
Text
snippet package
|
|
package $1;
|
|
$0
|
|
endpackage
|
|
endsnippet
|
|
|
|
snippet import
|
|
import $1 :: *;
|
|
endsnippet
|
|
|
|
snippet interface
|
|
interface $1;
|
|
$0
|
|
endinterface
|
|
endsnippet
|
|
|
|
snippet module
|
|
module mk$1($2);
|
|
$0
|
|
endmodule
|
|
endsnippet
|
|
|
|
snippet rule
|
|
rule $1($2);
|
|
$0
|
|
endrule
|
|
endsnippet
|
|
|
|
snippet Action
|
|
method Action $1($2);
|
|
endsnippet
|
|
|
|
snippet ActionValue
|
|
method ActionValue#($1) $2($3);
|
|
endsnippet
|
|
|
|
snippet Value
|
|
method $1 $2($3);
|
|
endsnippet
|
|
|
|
snippet Reg
|
|
Reg#($1) $2 <- mkReg($3);
|
|
endsnippet
|
|
|
|
snippet begin
|
|
begin
|
|
$0
|
|
end
|
|
endsnippet
|
|
|
|
snippet if
|
|
if ($1)
|
|
endsnippet
|
|
|
|
snippet tb
|
|
package $1Tb;
|
|
import $1 :: *;
|
|
|
|
module mk$1Tb();
|
|
rule test;
|
|
$display("Test here");
|
|
$finish();
|
|
endrule
|
|
endmodule
|
|
endpackage
|
|
endsnippet
|
|
|
|
snippet matches
|
|
case ($1) matches
|
|
tagged $2:
|
|
$0
|
|
endcase
|
|
endsnippet
|