Snippets for bluespec development

This commit is contained in:
Oshgnacknak 2022-10-28 15:41:38 +02:00
parent b7183d2a24
commit 0cd403b77d
Signed by: Oshgnacknak
GPG key ID: 8CB7375654585956
2 changed files with 61 additions and 0 deletions

View file

@ -0,0 +1,53 @@
snippet package
package $1;
$0
endpackage
endsnippet
snippet import
import $1 :: *;
endsnippet
snippet interface
interface $1;
$0
endinterface
endsnippet
snippet module
module mk$1($2);
$0
endmodule
endsnippet
snippet rule
rule $1($2);
$0
endrule
endsnippet
snippet Action
method Action $1($2);
endsnippet
snippet ActionValue
method ActionValue#($1) $2($3);
endsnippet
snippet Value
method $1 $2($3);
endsnippet
snippet Reg
Reg#($1) $2 <- mkReg($3);
endsnippet
snippet begin
begin
$0
end
endsnippet
snippet if
if ($1)
endsnippet

View file

@ -15,3 +15,11 @@ CXXFLAGS := -ggdb -std=c++20 -I.
$1: $1.cpp $1: $1.cpp
$(CXX) $(CXXFLAGS) -o $@ $^ $(CXX) $(CXXFLAGS) -o $@ $^
endsnippet endsnippet
snippet bsv
$1: $1.bo
bsc -u -sim -e mk$1 -o $@
$1.bo: $1.bsv
bsc -u -sim -g mk$1 $<
endsnippet